CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 倍频

搜索资源列表

  1. 8倍频vhdl

    3下载:
  2. 该文件可用vhdl语言实现时钟8倍频,运行环境可在maxplus2和ise的仿真软件上-the document available VHDL Language 8 clock frequency, the operating environment and ideally maxplus2 simulation software
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:998
    • 提供者:罗兵武
  1. EP2C20_TEST.rar

    1下载:
  2. 内含无刷电机驱动VHDL模块,读码盘4倍频模块,并用NIOS核实现简单无刷电机闭环控制。,Brushless motor driver includes VHDL modules, reading frequency module plate 4, and nuclear NIOS simple closed-loop control of brushless motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-29
    • 文件大小:15531593
    • 提供者:王少鸷
  1. twice_freqencey

    0下载:
  2. 用Verilog直接完成倍频的算法,经过了quartus8.0的时序仿真-Verilog multiplier used directly to complete the algorithm, as a result of timing simulation quartus8.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:231982
    • 提供者:nikui
  1. DCM

    0下载:
  2. ISE实现DCM组建例化,得到3倍频时钟-ISE to achieve established cases of DCM, received 3 octave clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:370336
    • 提供者:ll
  1. fq_div

    0下载:
  2. pll 的64倍频 锁相环技术用 实现倍频 从而达到对频率的分频-pll 64 multiplier PLL multiplier used to achieve so as to achieve the sub-band of frequencies
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-04
    • 文件大小:2727
    • 提供者:leo
  1. twice_clk

    0下载:
  2. 对输入时钟进行2倍频 已在modelsim中通过仿真 建议进行后仿 应用上来看 是可以使用的-the function of the module is frequency multiplication,and the module had been test and verified by modelsim,so the products can be employed with 100 ease by each consumer.think you!!!!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5159
    • 提供者:王伟臣
  1. 3fp

    0下载:
  2. 奇数分频和倍频(只需修改参数就可以实现较难得基数分频和倍频)-Odd frequency and frequency-doubling (just modify the parameters can be achieved relatively rare sub-base frequency and octave)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:169922
    • 提供者:wk
  1. beipin_test

    0下载:
  2. 实现任意倍数的倍频,帮助大家解决VHDL倍频问题,-The realization of arbitrary multiples of the octave, octave VHDL help people solve problems,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:145369
    • 提供者:万卓
  1. statemachine

    0下载:
  2. 基于状态图的光电编码器4倍频vhdl程序,输入相位差90度的两相,输出倍频和方向信号-Based on the state of the optical encoder Figure 4 multiplier vhdl procedure, enter a 90-degree phase difference of two-phase, frequency and direction of the output signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:865
    • 提供者:pudn
  1. 4pin

    1下载:
  2. eda应用中的硬件描述语言vhdl4倍频率设计方法-beipin
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-13
    • 文件大小:1887
    • 提供者:yang ye
  1. pll

    0下载:
  2. 是quartus2的仿真倍频电路,用于产生倍频时钟!-Is a multiplier circuit simulation quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:333237
    • 提供者:张宏伟
  1. Freq_4

    1下载:
  2. 伺服电机编码器四倍频源程序,已经在工程中应用。非常有用。-it is important,it has been use in my project.i hope it is useful to everyone
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-28
    • 文件大小:180798
    • 提供者:张林
  1. pll

    0下载:
  2. 一个实现任意倍频的,输入参考频率未知的pll,已综合实现-frequency multiple rely on dpll,unknown reference input clock
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:3886
    • 提供者:刘彻
  1. CLOK

    0下载:
  2. 时钟分频。使用原有高频信号,将其10倍频,得到可用于八段数码管显示的扫描信号-Clock frequency. The use of the original high-frequency signal, frequency-doubling of its 10, the eight can be used to display the scanned digital signal
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-06
    • 文件大小:292256
    • 提供者:庄岚
  1. beipin

    0下载:
  2. 倍频出200M时钟,对输入脉冲进行计数测量时间间隙-produce 200M clock,count the input pulse
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-30
    • 文件大小:198093
    • 提供者:储培
  1. sanfenpin

    0下载:
  2. verilog 三分频 分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相环资源,如altera 的PLL,Xilinx的DLL.来进行时钟的分频,倍频以及相移。-verilog-third of the frequency divider is a FPGA design, very high frequency of use, one of the basic design, although most of the designs in
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:779
    • 提供者:杨化冰
  1. BPQ

    0下载:
  2. 倍频器-WE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:685
    • 提供者:Sun
  1. multifreqvhdl

    0下载:
  2. 资料是本人根据相关文献资料用vhdl语言编写的旋转机械鉴相信号倍频的程序,multifre1.vhd是倍频程序,multifre1.vwf是仿真波形文件,stp1.stp是虚拟逻辑分析仪signaltap文件。该倍频程序可以直接使用,可以设置倍频数,修改实体参数N即可。-According to the literature data is the information I have written in with vhdl Rotating Machinery Kam believe tha
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:1433511
    • 提供者:lwj
  1. pll

    0下载:
  2. 利用qaurtus的内的ip核定制锁相环实现对信号的倍频-The use of the ip qaurtus approved system PLL multiplier on signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1369668
    • 提供者:唐军
  1. chengxu

    0下载:
  2. 4位乘法器,4位除法器,K倍频的VHDL实现-Four multipliers, four dividers, K multiplier of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2268
    • 提供者:郭慧
« 12 3 »
搜珍网 www.dssz.com